publications

Please note that all of these papers are only preprints. For the published versions, please visit the website of the publisher. All the copyrights belong to the respective publishers. Also note that all the papers including posters and demos have gone through  a rigorous peer review process. Jxx refers to a journal paper and Cxx refers to a paper or poster or demo in a conference. There is no double counting in this list, which means that if the same paper has been presented in two places, there is only a single entry.

Our list of patents can be found here.

2024

C85) PanoptiChrome: A Modern In-browser Taint Analysis Framework, Rahul Kanyal, Smruti R. Sarangi, International World Wide Web Conference (WWW), Singapore [accepted] [slides]

C84) Semantic-Aided Image Transmission System with Unequal Error Protection for Next-Generation Communication Networks, Nargis Fayaz, Aman Shreshtha, Smruti Sarangi, Ranjan K. Mallik, Brejesh Lall, Wireless Communications and Networking Conference (WCNC), Abu Dhabi [accepted]

C83) HybMT: Hybrid Meta-Predictor based ML Algorithm for Fast Test by Shruti Pandey, Jayadeva and Smruti R. Sarangi, ASP-DAC, Incheon, South Korea. [pdf ] [slides]

2023

J43) PC-ILP: A Fast and Intuitive Method to Place Electric Vehicle Charging Stations in Smart Cities. Mehul Bose, Bivas Dutta, Nivedita Shrivastava, Smruti R. Sarangi. Smart Cities. [preprint]

J42) VarSim: A Fast Process Variation-Aware Thermal Modeling Methodology using Green's Functions, Hameedah Sultan, Smruti R. Sarangi, Microelectronics Journal. [preprint]

C82) JASS: A Tunable Checkpointing Systems for NVM-based Systems, Akshin Singh, Smruti R. Sarangi, High Performance Computing (HiPC), Goa. [ pdf ] [ slides ]

C81) SnapStore: A Snapshot Storage System for Serverless Systems, Abhisek Panda, Smruti R. Sarangi, Middleware, Bologna, Italy. [ pdf ] [ slides ]

J41) SmrtSwarm: A Novel Swarming Model for Real-World Environments, Nikita Bhamu, Harshit Verma, Akanksha Dixit, Barbara Bollard and Smruti R. Sarangi, Drones preprint , Link to the published version

C80) SmrtComp: Intelligent and Online CAN Data Compression, Dipika Tanwar, Priyanka Singla, Soham Nag, Vireshwar Kumar, Smruti R. Sarangi, International Conference on Intelligent Transportation Systems (ITSC), Bilbao, Spain [pdf] [slides]

C79) Perspector: Benchmarking Benchmark Suites, Sandeep Kumar, Abhisek Panda, Smruti R. Sarangi, DATE (Design Automation and Test in Europe), Antwerp, Belgium[Nominated for the best paper award] [pdf] [slides]

C78) Securator: A Fast and Secure Neural Processing Unit by Nivedita Shrivastava and Smruti R. Sarangi, HPCA (High-Performance Computer Architecture), Montreal, Canada [pdf] [slides]

2022

J40) Game Theory-based Parameter Tuning for Energy-efficient Path Planning on Modern UAVs, Diksha Moolchandani, Kishore Yadav, Geesara Kulathunga, Ilya Afanasyev, Anshul Kumar, Manuel Mazzara, Smruti R. Sarangi. ACM Transactions on Cyber-Physical Systems [pdf]

J39) Towards an Optimal Countermeasure for Cache Side-Channel Attacks, Nivedita Shrivastava, Smruti R. Sarangi, IEEE Embedded Systems Letters [preprint version, pdf] [IEEE Xplore]

J38) Hardware-Assisted Mechanisms to Enforce Control Flow Integrity: A Comprehensive Survey, Sandeep Kumar, Diksha Moolchandani, Smruti R. Sarangi, Journal of Systems Architecture (JSA), [pdf]

C77) CmpctArch: A Generic Low Power Architecture for Compact Data Structures in Energy Harvesting Devices, Priyanka Singla, Smruti R. Sarangi, ISVLSI, 2022, Cyprus [slides][pdf] [will be downloadable after the conference]

C76) HAJPAQUE: Hardware Accelerator for JSON Parsing, Querying and Schema Validation, Samiksha Agarwal, Smruti R. Sarangi, ISVLSI, 2022, Cyprus [slides][pdf] [will be downloadable after the conference]

C75) SecureLease: Maintaining Execution Control in The Wild using Intel SGX, Sandeep Kumar, Abhisek Panda, Smruti R. Sarangi, Middleware 2022, Quebec City, Canada [pdf] [slides]

C74) SGXGauge: A Comprehensive Benchmark Suite for Intel SGX, Sandeep Kumar, Abhisek Panda, Smruti R. Sarangi, International Symposium on Performance Analysis of Systems and Software (ISPASS), Singapore [pdf]

J37) Performance and Power Prediction for Concurrent Execution on GPUs, Diksha Moolchandani, Anshul Kumar, Smruti R. Sarangi, ACM Transactions on Architecture and Code Optimization (TACO), Volume 19, Issue 3, Sept 2022, Article 35, pp 1-27 [pdf]

C73) Polar Code-based Approximate Communication  System for Multimedia Web Pages, Aman Shreshtha, Priyanka Singla, Smruti R. Sarangi, Information Processing in Sensor Networks (IPSN), Milan, Italy. [pdf]

J36) A Survey and Experimental Analysis of Checkpointing Techniques for Energy Harvesting Devices , Priyanka Singla, Smruti R. Sarangi, Elsevier Journal of Systems Architecture (JSA). [pdf]

C72) NanoLeak: A Fast Analytical Green's Function-based Leakage-aware Thermal Simulator , Anjali Agrawal and Smruti R. Sarangi, International VLSI Design and Embedded Systems Conference (VLSID), [virtual] [pdf][slides]

C71) PredStereo: An Accurate Real-Time Stereo Vision System, Diksha Moolchandani, Nivedita Shrivastava, Anshul Kumar, Smruti R. Sarangi. Winter Conference on Applications of Computer Vision (WACV). Waikoloa, Hawaii, USA. [pdf][slides]

2021

J35) A Formal Approach to Accountability in Heterogeneous Systems-on-chip, Rajshekar Kalayappan and Smruti R. Sarangi, IEEE Transactions on Dependable and Secure Computing (IEEE TDSC), 18(6), Dec, 2021 (pdf)

BC1) [Book Chapter] Silicon Photonics for High-Performance Computing and Beyond, Edited by Mahdi Nikdast, Sudeep Pasricha, Gabriela Nicolescu, Akshan Seyedi, Di Liang, CRC Press. Contributed Chapter 2: Laser Modulation Schemes for Minimizing Static Power Dissipation

J34) A Survey of Hardware Architectures for Generative Adversarial Networks, Nivedita Shrivastava, Muhammad Abdullah Hanif, Sparsh Mittal, Smruti Ranjan Sarangi and Muhammad Shafique. Elsevier Journal of Systems Architecture.Volume 118, 2021 [preprint]

C70) SecureFS: A Secure File System for Intel SGX, Sandeep Kumar, Smruti R. Sarangi, International Symposium on Research in Attacks, Intrusions and Defenses (RAID), 2021, San Sebastian, Spain. (pdf)

C69) Radiant: Efficient Page Table Management for Tiered Memory Systems, Sandeep Kumar, Aravinda Prasad, Smruti R. Sarangi, Sreenivas Subramoney. International Symposium on Memory Management (ISMM), 2021. [virtual] (pdf)

C68) Game Theory-based Parameter-Tuning for Path Planning of UAVs, Diksha Moolchandani, Geesara Prathap, Ilya Afanasyev, Anshul Kumar, Manuel Mazzara and Smruti R. Sarangi, VLSI Design 2021 [virtual](pdf)

C67) A Fast Compact Thermal Model for Smart Phones, Anjali Agrawal, Anand Singh, Ankit Gola, Hameedah Sultan, Smruti R. Sarangi. VLSI Design, 2021 [virtual] [Best student paper award] (pdf)

C66) Variability Aware Thermal Simulation using CNNs, Hameedah Sultan, Smruti R. Sarangi, VLSI Design, 2021 [virtual]. (pdf)

C65) ISAMon: A Tool for Cross-Platform Performance Estimation and Clone Detection Using a Novel FFT-based Code Signature, Shubhankar Suman Singh, Smruti R. Sarangi, Design Automation Conference (DAC), 2020, [virtual], accepted as a poster.
Full paper: ISAMod: A Tool for Designing ASIPs by Comparing Different ISAs, VLSI Design, 2021.
(pdf)

J33) Accelerating CNN Inference on ASICs: A Survey, Diksha Moolchandani, Anshul Kumar, Smruti R. Sarangi, Elsevier Journal on Systems Architecture, Vol. 113, 2021 (pre-proof)

2020
J32) A Fast Leakage Aware Green's function based Thermal Simulator for 3D Chips, Hameedah Sultan, Smruti R. Sarangi, IEEE Transactions on VLSI, Nov. 2020, 28(11), 2342-2355 (pdf)

J31) GPUOPT: Power Efficient Photonic Network-on-Chip for a Scalable GPU, Janibul Bashir, Smruti R. Sarangi. ACM Journal on Emerging Technologies in Computing Systems (ACM JETC), 17(1), 2020, 8:1--26 (pdf)

J30) VisSched: An Auction based Scheduler for Vision Workloads on Heterogeneous Processors, Diksha Moolchandani, Anshul Kumar, Jose Martinez, Smruti R. Sarangi. Presented as a poster in DAC 2020. Full paper accepted in CASES 2020, and published in the International IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), Nov 2020, Volume 39, Issue 11, 4252-4265 (pdf)

C64) SecONet: A Security Framework for a Photonic Network On-Chip, Janib ul Bashir, Chandran Goodchild, Smruti R. Sarangi, Design Automation Conference (DAC), [virtual], accepted as a poster. Accepted as a full paper in NOCS (International Symposium on Networks-on-Chip) 2020. [Nominated for the best paper award] [pdf]

C63) ApproxEHD: A Sketching based Generic Architecture for Energy Harvesting Devices, Priyanka Singla, Chandran Goodchild, Smruti R. Sarangi, Design Automation Conference(DAC), [virtual], accepted as a poster.
Full paper: EHDSktch: A Generic Low Power Architecture for Sketching in Energy Harvesting Devices, [same authors]  accepted in ASP-DAC (Asia and South Pacific Design Automation Conference), Tokyo. (pdf)

C62) Softmon: A Tool to Compare Similar Open-source Software from a Performance Perspective, Shubhankar Suman Singh and Smruti R. Sarangi, Mining Software Repositories (MSR),  [virtual], (pdf)

C61) VarSim: A Fast and Accurate Variability and Leakage Aware Thermal Simulator, Hameedah Sultan, Smruti R. Sarangi. Design Automation Conference (DAC), [virtual] (pdf)

C60) Performance Prediction for Multi-Application Concurrency on GPUs, Diksha Moolchandani, Sudhanshu Gupta, Anshul Kumar, Smruti R. Sarangi,  International Symposium on Performance Analysis of Systems and Software (ISPASS), [virtual]. (pdf)

J29) ChunkedTejas: A Chunking-based Approach to Parallelizing a Trace-Driven Architectural Simulator, Rajshekar Kalayappan, Smruti R. Sarangi, ACM Transactions on Modeling and Computer Simulation (TOMACS), 30(3), July 2020 (pdf)

J28) Enhancing Network-on-Chip Performance by Reusing Trace Buffers by Neetu Jindal, Shubhani Gupta, Divya Praneetha, Preeti Ranjan Panda, Smruti R. Sarangi, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), April 2020, Volume 39, Issue 4.(pdf)

2019

J27) Predict, Share, and Recycle your Way to Low Power Nanophotonic Networks, Janibul Bashir, Smruti R. Sarangi. ACM Journal on Emerging Technologies in Computing Systems (ACM JETC), 16(1), 2019. (paper, appendix)

C59) Power efficient Photonic Network-on-Chip for a Scalable GPU, Janibul Bashir, Khushal Sethi and Smruti R. Sarangi. International Symposium on Networks-on-Chip (NOCS), New York. (pdf)

C58) NanoTherm: An Analytical Fourier-Boltzmann Framework for Full Chip Thermal Simulations, Shashank Varshney, Hameedah Sultan, Palkesh Jain, Smruti R. Sarangi. International Conference on Computer Aided Design (ICCAD), Westminister, USA (pdf, slides, thesis) [nominated for the best paper award (link)]

C57) F-LaaS: A Control-Flow-Attack Immune License-as-a-Service Model, Sandeep Kumar, Diksha Moolchandani, Takatsugu Ono and Smruti Sarangi.IEEE Services Computing Conference (SCC), 2019. Milan, Italy. ( pdf )

C56) A Reference Architecture for Smart and Software-defined Buildings, Manuel Mazzara, Ilya Afanasyev, Smruti R. Sarangi, Salvatore Distefano, Vivek Kumar, Muhammad Ahmad, International Workshop on Sensors and Smart Cities (part of IEEE SmartComp), Washington DC (pdf)

J26) SpliESR: Tunable Power Splitter based on an Electro-Optic Slotted Ring Resonator, Rajib R. Ghosh, Janibul Bashir, Smruti R. Sarangi, Anuj Dhawan, Optics Communications, vol:442, Pages 117-122 (pdf)

A4) A Reference Architecture for Smart and Software-defined Buildings, Manuel Mazzara, Ilya Afanasyev, Smruti R. Sarangi, Salvatore Distefano, Vivek Kumar. arXiv:1902.09464

J25) A Survey of Chip Level Thermal Simulators by Hameedah Sultan, Anjali Chauhan, and Smruti R. Sarangi, ACM Computing Surveys, 52(2), 2019 (pdf)

C55) FlexiCheck: An Adaptive Checkpointing Architecture for Energy Harvesting Devices, Priyanka Singla, Shubhankar Suman Singh, and Smruti R. Sarangi. Design Automation and Test in Europe, (DATE), Florence, Italy (pdf, slides).

J24) BigBus: A Scalable Optical Interconnect, Janibul Bashir, Eldhose Peter, and Smruti R. Sarangi. ACM Journal of Emerging Technologies in Computing Systems (ACM JETC), 15(1), pdf

C54) Slotted Electro-optic Ring Resonator as a Tunable Optical Power Splitter, Rajib R. Ghosh, Janib Bashir, Smruti R. Sarangi, Anuj Dhawan, SPIE Photonics West, OPTO, Silicon Photonics XIV, San Francisco, USA. (pdf)
J23) A Survey of On-chip Optical Interconnects, Janibul Bashir, Eldhose Peter, and Smruti R. Sarangi. ACM Computing Surveys, 51(6), 2019 preprint

2018

J22) Radio Propagation Characteristics-Based Spoofing Attack Prevention on Wireless Connected Devices by Mihiro Sonoyama, Takatsugu Ono, Haruichi Kanaya, Osamu Muta, Smruti R. Sarangi, Journal of Information Processing, Volume 27. pdf

A3) Is leakage power a linear function of temperature? Hameedah Sultan, Shashank Varshney, and Smruti R. Sarangi.  arXiv:1809.03147

C53) Probabilistic Sequential Consistency in Social Networks, Priyanka Singla, Shubhankar Suman Singh, K. Gopinath, and Smruti R. Sarangi, HiPC (High Performance Computing), Bangalore, India. pdf , pptx

C52) Whitelisting Approach Using Hardware Performance Counters in IoT Microprocessors, Ghadeer Alumsaddar, Teruo Tanimoto, Takatsugu Ono, Smruti R. Sarangi, and Koji Inoue, Career Workshop for Women and Minorities in Computer Architecture (CWWMC, held along with MICRO 2018). (pdf)

J21) Providing Accountability in Heterogeneous Systems On-Chip by Rajshekar Kalayappan and Smruti R. Sarangi, ACM Transactions on Embedded Computing Systems, 17(5). preprint

J20) Task Assignment Algorithms for Multicore Platforms with Process Variations, Gayathri Ananthanarayanan, Smruti R. Sarangi, M. Balakrishnan, Journal of Low Power Electronics (JOLPE), 14(2). (preprint)

J19) Reusing Trace Buffers as Victim Caches, Neetu Jindal, Smruti R. Sarangi, Preeti Panda, IEEE Transactions on VLSI, 26(9). (preprint)

C51) Energy Efficient Scheduling in IoT Networks, Smruti R. Sarangi, Sakshi Goel and Bhumika Singh, ACM Symposium on Applied Computing (SAC), Pau, France (pdf) (slides)

C50) HPXA: A Highly Parallel XML Parser, Isaar Ahmad, Sanjog Patil, and Smruti R. Sarangi, DATE (Design Automation and Test in Europe), Dresden, Germany. (pdf)

2017

C49) Expander: Lock-Free Cache for a Concurrent Data Structure, Pooja Aggarwal, Smruti R. Sarangi, HiPC (High Performance Computing), Jaipur, India (pdf) (slides)

C48)  NUPLet: A Photonics Based Multi-Chip NUCA Architecture, Janibul Bashir, Smruti R. Sarangi, ICCD (International Conference on Computer Design), Boston, USA (pdf)

C47) SchedTask: A Hardware-Assisted Task Scheduler, Prathmesh Kallurkar, Smruti R. Sarangi, MICRO (International Symposium on Microarchitecture), Boston, USA (pdf) (pptx)

C46) Poster: BigBus: A Scalable Optical Interconnect by Eldhose Peter, Janib-ul Bashir, and Smruti R. Sarangi. PACT (Parallel Architectures and Compilation Techniques), Portland, USA. proceedings paper: (preprint)

J18) ParTejas: A Parallel Simulator for Multicore Processors by Geetika Malhotra, Rajshekar Kalayappan, Seep Goel, Pooja Aggarwal, Abhishek Sagar, and Smruti R. Sarangi. ACM Transactions on Modeling and Computer Simulation. (ACM TOMACS), Volume 27, Issue 3, Aug, 2017 (pdf)

J17) Managing Trace Summaries to Minimize Stalls During Post-Silicon Validation by Sandeep Chandran, Preeti Panda, Smruti R. Sarangi, Ayan Bhattacharya, Deepak Chauhan, Sharad Kumar, IEEE Transactions on VLSI, 25(6).  (pdf)

J16) Optical Overlay NUCA: A High Speed Substrate for Shared L2 Caches, by Eldhose Peter, Anuj Arora, Janibul Bashir, Akriti Bagaria, and Smruti R. Sarangi. ACM Journal on Emerging Technologies in Computing Systems (JETC), 13(4). (preprint)

J15) Internet of Things: Architectures, Protocols, and Applications by Pallavi Sethi, and Smruti R. Sarangi. Journal of Electrical and Computer Engineering. Volume 2017, DOI Link, (pdf)

C45) Reusing Trace Buffers to Enhance Cache Performance, Neetu Jindal, Preeti R. Panda, and Smruti R. Sarangi. DATE (Design Automation and Test in Europe), Lausanne, Switzerland. (pdf)

C44) A Hardware Implementation of the MCAS Synchronization Primitive, Sristhy Patel, Rajshekar Kalayappan, Ishani Mahajan, and Smruti R. Sarangi. DATE (Design Automation and Test in Europe), Lausanne, Switzerland. (pdf) (full paper + appendix)

C43) A Fast Leakage Aware Simulator for 3D Chips, Hameedah Sultan, and Smruti R. Sarangi, DATE (Design Automation and Test in Europe), Lausanne, Switzerland. (pdf)

2016

C42) pTask: A Smart Prefetching Scheme for OS Intensive Applications, Prathmesh Kallurkar, Smruti R. Sarangi, International Symposium on Microarchitecture (MICRO), Taipei, Taiwan. pdf, slides

C41) Leakage Power Aware Task Assignment Algorithms for Multicore Platforms, Gayathri Ananthanarayanan, Smruti R. Sarangi, M. Balakrishnan, International Annual Symposium on VLSI (ISVLSI), Pittsburgh, USA (pdf)

C40) SecCheck: A Trustworthy System with Untrusted Components, Rajshekar Kalayappan, Smruti R. Sarangi, International Annual Symposium on VLSI (ISVLSI), Pittsburgh, USA (pdf)

C39) Noise Aware Scheduling in Data Centers, Hameedah Sultan, Arpit Katiyar, Smruti R. Sarangi. International Conference on Supercomputing (ICS), 2016. Istanbul. (main paper) (appendix)

C38) OptiShare: A Dynamic Channel Sharing Scheme for Power Efficient On-chip Optical Architectures, Eldhose Peter, Smruti R. Sarangi, OPTICS workshop, along with DATE 2016, Dresden, Germany. (pdf) (slides)

J14) FluidCheck: A Redundant Threading based Approach for Reliable Execution in Manycore Processors, Rajshekar Kalayappan, and Smruti R. Sarangi. ACM Transactions on Architecture and Code Optimization, 12(4). Also presented in HiPEAC 2016, Prague. (pdf) (slides)

C37) A Wait-Free Stack, Seep Goel, Pooja Aggarwal, Smruti R. Sarangi, International Conference on Distributed Computing and Internet Technology (ICDCIT), Bhubaneshwar (full paper: pdf). [arxiv]

C36) A Generic Implementation of Barriers using Optical Interconnects, Sandeep Chandran, Eldhose Peter, Preeti R. Panda, Smruti R. Sarangi, VLSI Design, Kolkata (pdf)

A3) Fundamental Results for Generic Implementations of Barriers using Optical Interconnects, Sandeep Chandran, Eldhose Peter, Preeti Ranjan Panda, Smruti R. Sarangi. [arxiv]

C35) Extending Trace History Through Tapered Summaries in Post-silicon Validation, Sandeep Chandran, Preeti Ranjan Panda, Smruti R. Sarangi, Deepak Chauhan, Sharad Kumar, Asia and South Pacific Design Automation Conference (ASPDAC), Macao. (pdf) (nominated for the best paper award)

J13) Active Microring Based Tunable Optical Power Splitters, Eldhose Peter, Arun Thomas, Anuj Dhawan, Smruti R. Sarangi. Optics Communications, volume 359, pages 311-315 (pdf)

J12) Area-aware Cache Update Trackers for Post-silicon Validation, Sandeep Chandran, Smruti R. Sarangi, Preeti Panda, IEEE Transactions on VLSI Systems, 24(5). (pdf)

J11) Lock-free and Wait-free Slot Scheduling Algorithms by Pooja Aggarwal and Smruti R. Sarangi, IEEE Transactions on Parallel and Distributed Systems, 27(5). (pdf)

2015

C34) ColdBus: A Near-Optimal Power Efficient Optical Bus, Eldhose Peter, Arun Thomas, Anuj Dhawan, Smruti R. Sarangi, HiPC (High Performance Computing), Bangalore, pdf)

C33) Tejas: A Java based Versatile Micro-architectural Simulator, Smruti R. Sarangi, Rajshekar Kalayappan, Prathmesh Kallurkar, Seep Goel, Eldhose Peter. PATMOS, Salvador Brazil. (pdf)

C32) SecX: A Framework for Collecting Runtime Statistics for SoCs with Multiple Accelerators, Rajshekar Kalayappan and Smruti R. Sarangi, ISVLSI, Montpeller, France (pdf)

A2) Tejas Simulator: Validation Against Hardware, Smruti R. Sarangi, Rajshekar Kalayappan, Prathmesh Kallurkar, Seep Goel, arXiv:1501.07420

C31) Ethical Hacking of License Managers, Karishma Agarwal, Prathmesh Kallurkar, Siva Krishna Aleti, Smruti R. Sarangi. Security and Privacy Symposium, IIIT Delhi. (Best Poster Award) (pptx)

C30) Optimal Power Efficient Photonic SWMR Buses, Eldhose Peter, Smruti R. Sarangi, 2nd Workshop on Silicon Photonics, along with the HiPEAC Conference, Amsterdam. (pdf)

J10) FP-NUCA: A Fast NOC Layer for Implementing Large NUCA Caches, Anuj Arora, Mayur Harne, Hameedah Sultan, Akriti Bagaria, Smruti R. Sarangi. IEEE Transactions on Parallel and Distributed Systems, 26(9). (pdf)

2014

C29) RADIR: Lock-free and Wait-free Bandwidth Allocation Models for Solid State Drives, Pooja Aggarwal, Giridhar Yasa, Smruti R. Sarangi, HiPC, Goa, 2014, (pdf)

C28) Optical Overlay NUCA: A High Speed Substrate for Shared L2 Caches, Eldhose Peter, Anuj Arora, Akriti Bagaria, Smruti R. Sarangi, HiPC, Goa, 2014 (pdf)

C27) Trikon: A Hypervisor Aware Manycore Processor, Rohan Bhalla, Prathmesh Kallurkar, Nitin Gupta, Smruti R. Sarangi, HiPC, Goa, 2014 (pdf)

C26) GPUTejas: A Parallel Simulator for GPU Architectures, Geetika Malhotra, Seep Goel, Smruti R. Sarangi, HiPC, Goa, 2014,  (pdf)
A1)  Three Experiments to Analyze the Nature of the Heat Spreader, arXiv:1402.6903, Seema Sethia, Shouri Chatterjee, Sunil Kale, Amit Gupta, Smruti R. Sarangi. (pdf)

C25) ParTejas: A Parallel Simulator for Multicore Processors, Geetika Malhotra, Pooja Aggarwal, Abhishek Sagar, Smruti R. Sarangi. ISPASS, Monterey, CA, USA (pdf)

J9) Processor Power Estimation Techniques: A Survey, Hameedah Sultan, Gayathri Ananthanarayanan, and Smruti R. Sarangi. International Journal of High Performance Systems Architecture. Volume 5 Issue 2, May 2014, Pages 93-114 (pdf)

C24)  Software Transactional Memory Friendly Slot Schedulers by Pooja Aggarwal, and Smruti R. Sarangi, ICDCIT, Bhubaneswar, (pdf -- extended version)

C23) OptiKit: An Open Source Kit for Simulation of On-Chip Optical Components by Eldhose Peter, and Smruti R. Sarangi. VLSI Design (Poster), Mumbai (technical report)

C22) LightSim : A Leakage Aware Ultrafast Temperature Simulator by Smruti R. Sarangi, Gayathri Ananthanarayanan, and M. Balakrishnan, ASP-DAC, Singapore (pdf)

J8) Architectural Support for Handling Jitter in Shared Memory based Parallel Applications by Sandeep Chandra, Prathmesh Kallurkar, Parul Gupta, Smruti R. Sarangi, IEEE Transactions on Parallel and Distributed Systems. Volume 25, Issue 5, May 2014 (pdf)

2013

J7) Amdahl's Law in the Era of Process Variation by Gayathri Ananthanarayanan, Geetika Malhotra, M. Balakrishnan, and Smruti R. Sarangi. International Journal of High Performance Systems Architecture (IJHPSA). 2013, Vol 4, No. 4. pp 218-230. ( pdf )

C21) A Case Study of a First-of-Its-Kind Remote Course among Premier Institutions in India by  Smruti R. Sarangi, International Conference on E-Learning and E-Technologies in Education, (ICEEE), Lodz, Poland, (pdf)

C20)  emuArm: A Tool for Teaching the ARM Assembly Language by Geetika Malhotra, Namita Atri, Smruti R. Sarangi, International Conference on E-Learning and E-Technologies in Education, (ICEEE), Lodz, Poland, (pdf)

J6) A Survey of Checker Architectures by Rajshekar Kalaiyappan and Smruti R. Sarangi, ACM Computing Surveys, Volume 45, Issue 4, Number 48 (pdf)

C19) Space Sensitive Cache Dumping for Post Silicon Validation by Sandeep Chandran, Smruti R. Sarangi, Preeti Ranjan Panda, Design Automation and Test in Europe (DATE), Grenoble, France( pdf )

C18) Lock-free and Wait-free Slot Scheduling Algorithms by Pooja Aggarwal, Smruti R. Sarangi, International Parallel and Distributed Processing Symposium (IPDPS), Boston, USA( pdf ) (slides)

2012

C17) Efficient on-line algorithms for maintaining k-cover of a sparse bit-string by Amit Kumar, Preeti Panda, Smruti R. Sarangi, Foundations of Software Technology and Theoretical Computer Science (FSTTCS), Hyderabad, India (pdf)

J5) IT Infrastructure for Providing Energy-as-a-Service to Electric Vehicles by Smruti R. Sarangi, Partha Dutta, and Komal Jalan in IEEE Transactions on Smart Grids, 3(2). (pdf)

2011

C16) UsiFe: An User Space Filesystem with Support for Intra File Encryption by Rohan Sharma, Prathmesh Kallurkar, Saurabh Kumar, and Smruti R. Sarangi, International Conference on Software and Computing Technology (ICSCT), Singapore. (pdf) (All copyrights held by SPIE)

C15) Virtualized Base Station Pool : Towards a Wireless Network Cloud for Radio Access Networks by Zhenbo Zhu, Qing Wang, Yonghua Lin, Parul Gupta, Smruti R. Sarangi Shivkumar Kalyanaraman, Hubertus Franke. ACM Computing Frontiers, Italy (pdf)

2010

C14) DUST: A Generalized Notion of Similarity between Uncertain Time Series by Smruti R. Sarangi, and Karin Murthy.Knowledge Discovery and Data Mining(KDD), Washington D.C., USA (pdf) (slides)

2009

C13) Theoretical Framework for Eliminating Redundancy in Workflows by Dhrubajyoti Saha, Abhishek Samanta, and Smruti R. Sarangi. IEEE International Conference on Service Computing (SCC), Bangalore, September 2009. (pdf)

C12) High Performance SWR Base Station and Wireless Network Cloud over General Multi-core IT Platforms by Yonghua Lin, Qing Wang, Zhenbo Zhu, Jianwen Chen, Lin Chen, Rong Yan, Wei Xie, Kuan Feng, Parul Gupta, Smruti R. Sarangi (demo paper) in MobiCom, Beijing,  2009. [link]

2008

C11) EVAL: Utilizing Processors with Variation-Induced Timing Errors by Smruti Sarangi, Brian Greskamp, Abhishek Tiwari, and Josep Torrellas. 41st International Symposium on Microarchitecture (MICRO), Lake Como, Italy, November 2008. (pdf)

J4) VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects by Smruti R. Sarangi, Brian Greskamp, Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas, IEEE Transactions on Semiconductor Manufacturing (IEEE TSM), 21(1), February 2008. (pdf)

2007

J3) Patching Processor Design Errors with Programmable Hardware, Smruti R. Sarangi, Satish Naraya- naswamy, Bruce Carneal, Abhishek Tiwari, Brad Calder, Josep Torrellas. IEEE Micro Special Issue: Micro's Top Picks from Computer Architecture, 27(1), Jan. 2007. (pdf)

C10) VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects by Radu Teodorescu, Brian Greskamp, Jun Nakano, Smruti Sarangi, Abhishek Tiwari, and Josep Torrellas (UIUC). 2nd Workshop on Architectural Support for Gigascale Integration (ASGI) (along with ISCA 2007), San Diego, USA,  June 2007. (pdf)

C9) ReCycle: Pipeline Adaptation to Tolerate Process Variation by Abhishek Tiwari, Smruti Sarangi, and Josep Torrellas, 34th Annual International Symposium on Computer Architecture (ISCA), San Diego, USA, June 2007. (pdf)

C8) Threshold Voltage Variation Effects on Aging-Related Hard Failure Rates by Brian Greskamp, Smruti Sarangi, and Josep Torrellas. International Symposium on Circuits and Systems (ISCAS), Special Session: Circuit Design in the Presence of Device Variability, Taipei, May 2007. (pdf)

C7) A Model for Timing Errors in Processors with Parameter Variation by Smruti Sarangi, Brian Greskamp, and Josep Torrellas. 8th International Symposium on Quality Electronic Design (ISQED), March 2007. (pdf)

2006

C6) Phoenix: Detecting and Recovering from Permanent Processor Design Bugs with Programmable Hardware by Smruti R. Sarangi, Abhishek Tiwari and Josep Torrellas. 39th International Symposium on Microarchitecture (MICRO), Dec. 2006. (pdf) (slides) (Best Paper Award)

C5) Designing Hardware that Supports Cycle-Accurate Deterministic Replay by Brian Greskamp, Smruti R. Sarangi and Josep Torrellas. Workshop on Complexity Effective Design(WCED) (along with ISCA 2006). (pdf)

C4) Rapid Prototyping in Architecture Research using Existing Hardware Mechanisms by Smruti R. Sarangi, Brian Greskamp and Josep Torrellas. Workshop on Architectural Research Prototyping(WARP) (along with ISCA 2006). (pdf)

C3) Cycle-Accurate Deterministic Replay for Processor Debugging by Smruti R. Sarangi Brian Greskamp and Josep Torrellas. Dependable Systems and Networks (DSN) 2006. (pdf)

J2) Energy-Efficient Thread-Level Speculation on a CMP by Jose Renau, Karin Strauss, Luis Ceze, Wei Liu, Smruti R. Sarangi, James Tuck and Josep Torrellas. IEEE Micro Special Issue: Micro's Top Picks from Computer Architecture, (1), Jan. 2006. (pdf)

2005

C2) ReSlice: Selective Re-Execution of Long-Retired Misspeculated Instructions Using Forward Slicing by Smruti R. Sarangi, Wei Liu, Josep Torrellas, and Yuanyuan Zhou. 38th International Symposium on Microarchitecture (MICRO), November 2005. (pdf)

C1) Thread-Level Speculation on a CMP Can Be Energy Efficient by Jose Renau, Karin Strauss, Luis Ceze, Wei Liu, Smruti Sarangi, James Tuck, and Josep Torrellas. 2005 ACM International Conference on Supercomputing (ICS), June 2005. (pdf)

2003

J1) A Scalable Efficient and General Monte Carlo Scheme for Generating Synthetic Web Request Streams by Smruti R. Sarangi, P.N.Sireesh and S.P.Pal. International Journal of Computer Systems Science and Engineering, Vol. 18, pp, 121-128, May 2003. (pdf) (bibtex)